Diferencia entre revisiones de «Obijuan:Notas sobre FPGAs libres»

De WikiRobotics
Saltar a: navegación, buscar
(Página creada con «* Post en hackaday: [http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/ http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-tha...»)
 
 
(No se muestran 28 ediciones intermedias del mismo usuario)
Línea 1: Línea 1:
 +
== Recopilación de links ==
 +
 
* Post en hackaday: [http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/ http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/]
 
* Post en hackaday: [http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/ http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/]
 +
* DIPSY: Fpga en un dip8: [https://hackaday.io/project/6592-dipsy https://hackaday.io/project/6592-dipsy]
 +
* [http://www.latticesemi.com/About/Newsroom/PressReleases/2015/201502UltraLite.aspx iCE40]: FPGA muy pequeña, de Lattice
 +
* Hackaday post: [http://hackaday.com/2015/05/29/an-open-source-toolchain-for-ice40-fpgas/ Opensource toolchaing para FPGAs]
 +
** [https://github.com/cseed/arachne-pnr https://github.com/cseed/arachne-pnr]
 +
** [http://www.clifford.at/icestorm/ icestorm]
 +
** [http://www.latticesemi.com/icestick http://www.latticesemi.com/icestick]
 +
** [http://www.clifford.at/yosys/ http://www.clifford.at/yosys/]: Sintetizador para FPGA libre!!!!! al fin!!!!!  Para Verilog
 +
** Para conseguirlo, no tuvieron más remedio que hacer ingeniería inversa del bitstream: [http://hackaday.com/2015/03/29/reverse-engineering-lattices-ice40-fpga-bitstream/ http://hackaday.com/2015/03/29/reverse-engineering-lattices-ice40-fpga-bitstream/]
 +
* Vídeos:
 +
** [https://www.youtube.com/watch?v=u1ZHcSNDQMM https://www.youtube.com/watch?v=u1ZHcSNDQMM]
 +
** [https://www.youtube.com/watch?v=yUiNlmvVOq8 https://www.youtube.com/watch?v=yUiNlmvVOq8]
 +
* Documentación:
 +
** [http://www.ece.umd.edu/courses/enee359a/verilog_tutorial.pdf tutorial de Verilog] (PDF)
 +
* Ejemplo completo de iverilog en linux:
 +
** http://iverilog.wikia.com/wiki/GTKWAVE  (Instalar iverilog y gtkwave)
 +
 +
* Otro post en hackaday: [http://hackaday.com/2015/07/28/open-source-fpga-toolchain-builds-cpu/ CPU libre sobre lattice FPGA]
 +
 +
* [https://hackaday.io/project/6636-iced-an-arduino-style-board-with-ice-fpga Tarjeta entrenadora estilo arduino]. Licencia libre. ¡SEGUIR!!!!!
 +
 +
* [http://www.fleasystems.com/fleaFPGA.html FleaFPGA] Placa para FPGA de lattice. El modelo NO está soportado por las icestorm (todavía), pero es una buena placa objetivo. Tiene potencial
 +
 +
 +
== Verilog ==
 +
* [http://hackaday.com/2015/07/21/learn-fpgas-in-your-browser/ http://hackaday.com/2015/07/21/learn-fpgas-in-your-browser/]. Hackaday: simulando verilog en el navegador
 +
* [http://www.edaplayground.com/ http://www.edaplayground.com/]. Simulador web de verilog
 +
* [http://verilog.comuf.com/docs.html http://verilog.comuf.com/docs.html]: Listado de tutoriales de Verilog
 +
* [http://hackaday.com/2015/08/20/learning-verilog-for-fpgas-flip-flops/  tutorial verilog Hackaday: flip-flips]
 +
 +
== CPUs ==
 +
* [https://github.com/zylin/zpu ZPU: cpu libre programable con GCC]
 +
 +
== Otros proyectos ==
 +
* [http://www.element14.com/community/groups/fpga-group/blog/2014/07/21/flavia-the-free-logic-array Flavia]. Es una idea similar a lo que yo tenía pensado: hacer una fpga virtual sobre una real para usar sólo herramientas libres. Flavia es similar, pero implementan un CPLD y las herramientas libres para su programación
 +
* [https://code.google.com/p/xxicc/ xxicc]. Relacionado con flavia. Ya tienen una iCEstick y han probado icestorm.
 +
* [http://www.fpga4fun.com/CNC.html CNC con FPGA]
 +
 +
== Perifericos ==
 +
=== I2C ===
 +
* Tutorial i2c:  [http://howtomechatronics.com/tutorials/arduino/how-i2c-communication-works-and-how-to-use-it-with-arduino link]
 +
 +
== Otros ==
 +
* [https://media.ccc.de/browse/conferences/camp2015/camp2015-6730-fpgas_for_everyone.html#video Charla de FPGAs en chaos 2015]
 +
* [http://www.academia.edu/5015377/Pr%C3%A1ctica_3_Dise%C3%B1o_de_un_controlador_VGA_en_VHDL Controlador en VHDL]
 +
* [http://www.dsquaredrobotics.com/wiki/doku.php?id=en:spaceinvaders Space invaders en VHDL]
 +
* [http://hackaday.com/2015/10/10/open-source-fpga-pi-hat/ Shield para Rasberry pi con FPGA de lattice]
 +
* [http://hackaday.com/2012/06/13/myhdl-python-programming-option-for-fpga/ python como HDL] [https://github.com/xesscorp/CAT-Board Placa en github]
 +
 +
== Log ==
 +
* '''10/Agosto/2015''': He descargado mi primer diseño verilog en la fpga, usando herramientas libres!!! Un programa "hola mundo" que enciende un led!!!!!
 +
* '''05/Agosto/2015''': Recibidas las dos [http://www.latticesemi.com/icestick icesticks] compradas
 +
* '''31/Julio/2015''':  He instalado todo el tool-chain de la web de icestorm en un ubuntu 15.04. ¡Funciona!. Todos los ejemplos están en [https://github.com/Obijuan/mytests/tree/master/verilog este github]

Revisión actual del 02:50 22 nov 2015

Recopilación de links

  • FleaFPGA Placa para FPGA de lattice. El modelo NO está soportado por las icestorm (todavía), pero es una buena placa objetivo. Tiene potencial


Verilog

CPUs

Otros proyectos

  • Flavia. Es una idea similar a lo que yo tenía pensado: hacer una fpga virtual sobre una real para usar sólo herramientas libres. Flavia es similar, pero implementan un CPLD y las herramientas libres para su programación
  • xxicc. Relacionado con flavia. Ya tienen una iCEstick y han probado icestorm.
  • CNC con FPGA

Perifericos

I2C

Otros

Log

  • 10/Agosto/2015: He descargado mi primer diseño verilog en la fpga, usando herramientas libres!!! Un programa "hola mundo" que enciende un led!!!!!
  • 05/Agosto/2015: Recibidas las dos icesticks compradas
  • 31/Julio/2015: He instalado todo el tool-chain de la web de icestorm en un ubuntu 15.04. ¡Funciona!. Todos los ejemplos están en este github