Diferencia entre revisiones de «Obijuan:Notas sobre FPGAs libres»

De WikiRobotics
Saltar a: navegación, buscar
Línea 1: Línea 1:
 +
== Recopilación de links ==
 +
 
* Post en hackaday: [http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/ http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/]
 
* Post en hackaday: [http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/ http://hackaday.com/2015/07/03/hackaday-prize-entry-they-make-fpgas-that-small/]
 
* DIPSY: Fpga en un dip8: [https://hackaday.io/project/6592-dipsy https://hackaday.io/project/6592-dipsy]
 
* DIPSY: Fpga en un dip8: [https://hackaday.io/project/6592-dipsy https://hackaday.io/project/6592-dipsy]
Línea 28: Línea 30:
 
== Otros proyectos ==
 
== Otros proyectos ==
 
* [http://www.element14.com/community/groups/fpga-group/blog/2014/07/21/flavia-the-free-logic-array Flavia]. Es una idea similar a lo que yo tenía pensado: hacer una fpga virtual sobre una real para usar sólo herramientas libres. Flavia es similar, pero implementan un CPLD y las herramientas libres para su programación
 
* [http://www.element14.com/community/groups/fpga-group/blog/2014/07/21/flavia-the-free-logic-array Flavia]. Es una idea similar a lo que yo tenía pensado: hacer una fpga virtual sobre una real para usar sólo herramientas libres. Flavia es similar, pero implementan un CPLD y las herramientas libres para su programación
 +
* [https://code.google.com/p/xxicc/ xxicc]. Relacionado con flavia. Ya tienen una iCEstick y han probado icestorm.
  
  

Revisión del 00:24 19 ago 2015

Recopilación de links

Verilog

CPUs

Otros proyectos

  • Flavia. Es una idea similar a lo que yo tenía pensado: hacer una fpga virtual sobre una real para usar sólo herramientas libres. Flavia es similar, pero implementan un CPLD y las herramientas libres para su programación
  • xxicc. Relacionado con flavia. Ya tienen una iCEstick y han probado icestorm.


Log

  • 10/Agosto/2015: He descargado mi primer diseño verilog en la fpga, usando herramientas libres!!! Un programa "hola mundo" que enciende un led!!!!!
  • 05/Agosto/2015: Recibidas las dos icesticks compradas
  • 31/Julio/2015: He instalado todo el tool-chain de la web de icestorm en un ubuntu 15.04. ¡Funciona!. Todos los ejemplos están en este github