Lenguaje VHDL

De WikiRobotics
Saltar a: navegación, buscar

Introducción

(por hacer)

Ejemplos

VHDL en Linux

Se pueden simular diseños hardware en VHDL bajo linux usando las herramientas gtkwave y ghdl.

Instalación

Su instalación es inmediata en Debian y Ubuntu. Seleccionar mediante Synaptic los paquetes gtkwave y ghdl o bien usar la siguiente línea de comando:

$ apt-get install ghdl gtkwave

Simulando un registro

Para comprobar que las herramientas están correctamente instaladas vamos a simular un registro de 8 bits. Para ello seguir los siguientes pasos:

  • Descargar el ejemplo (Reg.tar.gz)
  • Descomprimirlo y entrar en el directorio reg
$ tar vzxf Reg.tar.gz
$ cd reg
  • Lanzar la simulación:
$ make simulate
ghdl -i --workdir=work *.vhd
ghdl -m  --workdir=work reg_tb
analyze reg_tb.vhd
analyze reg.vhd
elaborate reg_tb
./reg_tb --wave=simulacion/reg_tb.ghw 
reg_tb.vhd:106:6:@25585ns:(report note): Simulacion correcta
gtkwave simulacion/reg_tb.ghw simulacion/reg_tb.cfg &

GTKWave Analyzer v3.1.10 (w)1999-2008 BSI 

[0] start time.
[25600000000] end time.
Warning: encountered 8 glitches across 8 glitch regions.

Se abrirá el Gtkwave con los resultados de la simulación:

Simulación de un registro de 8 bits en una máquina Debian/Lenny (Pinchar en la imagen para agrandar)

Licencia

Cc logo.png This work is licensed under a Creative Commons Attribution-ShareAlike 3.0 Spain License.
150px El código está liberado bajo licencia GPLv3

Autor

Juan González Gómez

Enlaces

Noticias

  • 26/Feb/2009: Comenzada esta página. Añadido el registro de 8 bits